Chin. Phys. Lett.  2013, Vol. 30 Issue (8): 087303    DOI: 10.1088/0256-307X/30/8/087303
CONDENSED MATTER: ELECTRONIC STRUCTURE, ELECTRICAL, MAGNETIC, AND OPTICAL PROPERTIES |
Characterization of HfSiAlON/MoAlN PMOSFETs Fabricated by Using a Novel Gate-Last Process
XU Gao-Bo**, XU Qiu-Xia, YIN Hua-Xiang, ZHOU Hua-Jie, YANG Tao, NIU Jie-Bin, HE Xiao-Bin, MENG Ling-Kuan, YU Jia-Han, LI Jun-Feng, YAN Jiang, ZHAO Chao, CHEN Da-Peng
Key Laboratory of Microelectronics Devices & Integrated Technology, Institute of Microelectronics, Chinese Academy of Sciences, Beijing 100029
Cite this article:   
XU Gao-Bo, XU Qiu-Xia, YIN Hua-Xiang et al  2013 Chin. Phys. Lett. 30 087303
Download: PDF(1295KB)  
Export: BibTeX | EndNote | Reference Manager | ProCite | RefWorks
Abstract We fabricate p-channel metal-oxide-semiconductor-field-effect-transistors (PMOSFETs) with a HfSiAlON/MoAlN gate stack using a novel and practical gate-last process. In the process, SiO2/poly-Si is adopted as the dummy gate stack and replaced by an HfSiAlON/MoAlN gate stack after source/drain formation. Because of the high-k/metal-gate stack formation after the 1000°C source/drain ion-implant doping activation, the fabricated PMOSFET has good electrical characteristics. The device's saturation driving current is 2.71×10?4 A/μm (VGS=VDS=?1.5 V) and the off-state current is 2.78×10?9 A/μm. The subthreshold slope of 105 mV/dec (VDS=?1.5 V), drain induced barrier lowering of 80 mV/V and Vth of ?0.3 V are obtained. The research indicates that the present PMOSFET could be a solution for high performance PMOSFET applications.
Received: 20 May 2013      Published: 21 November 2013
PACS:  73.40.Qv (Metal-insulator-semiconductor structures (including semiconductor-to-insulator))  
  73.61.-r (Electrical properties of specific thin films)  
  73.90.+f (Other topics in electronic structure and electrical properties of surfaces, interfaces, thin films, and low-dimensional structures)  
TRENDMD:   
URL:  
https://cpl.iphy.ac.cn/10.1088/0256-307X/30/8/087303       OR      https://cpl.iphy.ac.cn/Y2013/V30/I8/087303
Service
E-mail this article
E-mail Alert
RSS
Articles by authors
XU Gao-Bo
XU Qiu-Xia
YIN Hua-Xiang
ZHOU Hua-Jie
YANG Tao
NIU Jie-Bin
HE Xiao-Bin
MENG Ling-Kuan
YU Jia-Han
LI Jun-Feng
YAN Jiang
ZHAO Chao
CHEN Da-Peng
[1] Robertson J 2004 Eur. Phys. J. Appl. Phys. 28 265
[2] Wilk G D, Wallace R M and Anthony J M 2001 J. Appl. Phys. 89 5243
[3] Li R Z and Xu Q X 2002 IEEE Trans. Electron Devices 49 1891
[4] Nara Y, Mise N, Kadoshima M, Morooka T, Kamiyama S, Matsuki T, Sato M, Ono T, Aoyama T, Eimori T and Ohji Y 2008 ECS Trans. 13 209
[5] Liu G Z, Li C, Lu C B, Tang R F, Tang M R, Wu Z, Yang X, Huang W, Lai H K and Chen S Y 2012 Chin. Phys. B 21 117701
[6] Oh J H, Park Y, An K S, Kim Y, Ahn J R, Baik J Y and Park C Y 2005 Appl. Phys. Lett. 86 262906
[7] Li H J and Gardner M I 2005 IEEE Electron Device Lett. 26 441
[8] Alshareef H N, Luan H F, Choi K, Harris H R, Wen H C, Quevedo-Lopez M A, Majhi P and Lee B H 2006 Appl. Phys. Lett. 88 112114
[9] Fan J B, Liu H X, Ma F, Zhuo Q Q and Hao Y 2013 Chin. Phys. B 22 027702
[10] Hu A B and Xu Q X 2010 Chin. Phys. B 19 057302
[11] Wang X G, Liu J, Zhu F, Yamada N and Kwong D L 2004 IEEE Trans. Electron Devices 51 1798
[12] Xu Q X, Duan X F, Liu H H, Han Z S and Chu Y T 2007 IEEE Trans. Electron Devices 54 1394
[13] Yin H X, Meng L K, Yang T, Xu G B, Xu Q X, Zhao C and Chen D P 2011 ECS Trans. 34 749
[14] Li Y L and Xu Q X 2011 Microelectron. Eng. 88 976
[15] Kakushima K, Okamoto K, Adachi M, Tachi K, Ahmet P, Tsutsui K, Sugii N, Hattori T and Iwai H 2008 Solid-State Electron. 52 1280
[16] Kita K and Toriomi A 2009 Appl. Phys. Lett. 94 132902
[17] Wang X L, Han K, Wang W W, Chen S J, Ma X L, Chen D P, Zhang J, Du J, Xiong Y and Huang A P 2010 Appl. Phys. Lett. 96 152907
[18] Yang Z C, Huang A P, Yan L, Xiao Z S, Zhang X W, Chu P K and Wang W W 2009 Appl. Phys. Lett. 94 252905
[19] Xu G B and Xu Q X 2009 Chin. Phys. B 18 768
[20] Zhou H J and Xu Q X 2007 Chin. J. Semicond. 28 1532
Related articles from Frontiers Journals
[1] Hao Liu , Wen-Jun Liu, Yi-Fan Xiao , Chao-Chao Liu , Xiao-Han Wu , and Shi-Jin Ding . Band Alignment at the Al$_{2}$O$_{3}/\beta$-Ga$_{2}$O$_{3}$ Interface with CHF$_{3}$ Treatment[J]. Chin. Phys. Lett., 2020, 37(7): 087303
[2] Wen-Lun Zhang. Improvement of Performance of HfS$_{2}$ Transistors Using a Self-Assembled Monolayer as Gate Dielectric[J]. Chin. Phys. Lett., 2019, 36(6): 087303
[3] Yuan Liu, Li Wang, Shu-Ting Cai, Ya-Yi Chen, Rongsheng Chen, Xiao-Ming Xiong, Kui-Wei Geng. Temperature Dependence of Electrical Characteristics in Indium-Zinc-Oxide Thin Film Transistors from 10K to 400K[J]. Chin. Phys. Lett., 2018, 35(9): 087303
[4] Bin-Xu, Jing-Ping Xu, Lu Liu, Yong Su. Improvements of Interfacial and Electrical Properties for Ge MOS Capacitor with LaTaON Gate Dielectric by Optimizing Ta Content[J]. Chin. Phys. Lett., 2018, 35(7): 087303
[5] Zhao-Zhao Hou, Gui-Lei Wang, Jia-Xin Yao, Qing-Zhu Zhang, Hua-Xiang Yin. Improvement of Operation Characteristics for MONOS Charge Trapping Flash Memory with SiGe Buried Channel[J]. Chin. Phys. Lett., 2018, 35(5): 087303
[6] Qi-Wen Zheng, Jiang-Wei Cui, Ying Wei, Xue-Feng Yu, Wu Lu, Diyuan Ren, Qi Guo. Bias Dependence of Radiation-Induced Narrow-Width Channel Effects in 65nm NMOSFETs[J]. Chin. Phys. Lett., 2018, 35(4): 087303
[7] Ya-Yi Chen, Yuan Liu, Zhao-Hui Wu, Li Wang, Bin Li, Yun-Fei En, Yi-Qiang Chen. Low-Frequency Noise in Amorphous Indium Zinc Oxide Thin Film Transistors with Aluminum Oxide Gate Insulator[J]. Chin. Phys. Lett., 2018, 35(4): 087303
[8] Can Li, Cong-Wei Liao, Tian-Bao Yu, Jian-Yuan Ke, Sheng-Xiang Huang, Lian-Wen Deng. Concise Modeling of Amorphous Dual-Gate In-Ga-Zn-O Thin-Film Transistors for Integrated Circuit Designs[J]. Chin. Phys. Lett., 2018, 35(2): 087303
[9] Zhao-Zhao Hou, Gui-Lei Wang, Jin-Juan Xiang, Jia-Xin Yao, Zhen-Hua Wu, Qing-Zhu Zhang, Hua-Xiang Yin. Improved Operation Characteristics for Nonvolatile Charge-Trapping Memory Capacitors with High-$\kappa$ Dielectrics and SiGe Epitaxial Substrates[J]. Chin. Phys. Lett., 2017, 34(9): 087303
[10] Sheng-Kai Wang, Lei Ma, Hu-Dong Chang, Bing Sun, Yu-Yu Su, Le Zhong, Hai-Ou Li, Zhi Jin, Xin-Yu Liu, Hong-Gang Liu. Positive Bias Temperature Instability Degradation of Buried InGaAs Channel nMOSFETs with InGaP Barrier Layer and Al$_{2}$O$_{3}$ Dielectric[J]. Chin. Phys. Lett., 2017, 34(5): 087303
[11] Han-Han Lu, Jing-Ping Xu, Lu Liu. Interfacial and Electrical Properties of GaAs Metal-Oxide-Semiconductor Capacitor with ZrAlON as the Interfacial Passivation Layer[J]. Chin. Phys. Lett., 2017, 34(4): 087303
[12] Yuan Liu, Kai Liu, Rong-Sheng Chen, Yu-Rong Liu, Yun-Fei En, Bin Li, Wen-Xiao Fang. Total Ionizing Dose Radiation Effects in the P-Type Polycrystalline Silicon Thin Film Transistors[J]. Chin. Phys. Lett., 2017, 34(1): 087303
[13] Yi-Tao He, Ming Qiao, Lu Li, Gang Dai, Bo Zhang, Zhao-Ji Li. A Lateral Regulator Diode with Field Plates for Light-Emitting-Diode Lighting[J]. Chin. Phys. Lett., 2016, 33(09): 087303
[14] Qi-Wen Zheng, Jiang-Wei Cui, Hang Zhou, De-Zhao Yu, Xue-Feng Yu, Qi Guo. Hot-Carrier Effects on Total Dose Irradiated 65nm n-Type Metal-Oxide-Semiconductor Field-Effect Transistors[J]. Chin. Phys. Lett., 2016, 33(07): 087303
[15] Lan-Feng Tang, Hai Lu, Fang-Fang Ren, Dong Zhou, Rong Zhang, You-Dou Zheng, Xiao-Ming Huang,. Electrical Instability of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors under Ultraviolet Illumination[J]. Chin. Phys. Lett., 2016, 33(03): 087303
Viewed
Full text


Abstract