Chinese Physics Letters, 2023, Vol. 40, No. 6, Article code 066101 Self-Oscillated Growth Formation of Standing Ultrathin Nanosheets out of Uniform Ge/Si Superlattice Nanowires Xin Gan (甘鑫), Junyang An (安钧洋), Junzhuan Wang (王军转)*, Zongguang Liu (刘宗光), Jun Xu (徐骏), Yi Shi (施毅), Kunji Chen (陈坤基), and Linwei Yu (余林蔚)* Affiliations School of Electronic Science and Engineering/National Laboratory of Solid-State Microstructures, Nanjing University, Nanjing 210093, China Received 9 April 2023; accepted manuscript online 17 May 2023; published online 29 May 2023 *Corresponding authors. Email: yulinwei@nju.edu.cn; wangjz@nju.edu.cn Citation Text: Gan X, An J Y, Wang J Z et al. 2023 Chin. Phys. Lett. 40 066101    Abstract Self-oscillation is an intriguing and omnipresent phenomenon that governs a broad range of growth dynamics and formation of nanoscale periodic and delicate heterostructures. A self-oscillating growth phenomenon of catalyst droplets, consuming surface-coating a-Si/a-Ge bilayer, is exploited to accomplish a high-frequency alternating growth of ultrathin crystalline Si and Ge (c-Si/c-Ge) nano-slates, with Ge-rich layer thickness of 14–19 nm, embedded within a superlattice nanowire structure, with pre-known position and uniform channel diameter. A subsequent selective etching of the Ge-rich segments leaves a chain of ultrafine standing c-Si nanosheets down to $\sim$ $6$ nm thick, without the use of any expensive high-resolution lithography and growth modulation control. A ternary-phase-competition model has been established to explain the underlying formation mechanism of this nanoscale self-oscillating growth dynamics. It is also suggested that these ultrathin nanosheets could help to produce ultrathin fin-channels for advanced electronics, or provide size-specified trapping sites to capture and position hetero nanoparticle for high-precision labelling or light emission.
cpl-40-6-066101-fig1.png
cpl-40-6-066101-fig2.png
cpl-40-6-066101-fig3.png
cpl-40-6-066101-fig4.png
cpl-40-6-066101-fig5.png
DOI:10.1088/0256-307X/40/6/066101 © 2023 Chinese Physics Society Article Text As a hybrid one-dimensional (1D) nanostructure, superlattice nanowires (SLNWs) have attracted plenty of research interests and efforts in recent years, due to their unique photonic and electronic properties that could find broad applications in advanced optoelectronics, microelectronics and thermoelectronics, ranging from ultrasensitive biological and chemical detectors to highly integrated logic gates in bipolar transistors and nanoelectronics.[1-11] Moreover, 1D heterostructure SLNWs have reduced phonon transport, high electron mobility and thus a great potential for applications in light-emitting devices[1,4] and thermoelectric devices.[2,9,10] So far, there are two methods for fabrication of Ge/Si SLNWs, that is, via top-down and bottom-up approaches. The former is expensive and heavily depends on high-precision lithography and etching, while the latter is extremely high yield and versatile, but usually difficult to position for scalable device applications. Irrera et al.[12] used molecular beam epitaxy to grow Ge/Si multiple quantum well structures on Si substrates, and synthesized luminescent Ge/Si SLNWs by metal-assisted wet etching. Wu et al.[13] fabricated Ge/Si SLNWs using the vapor-liquid-solid growth mechanism. Flynn et al.[14] used wet chemistry to synthesize multi-segment Ge-Si axial heterojunction nanowires grown by infusing Si and Ge precursors, respectively, into organic solvents. The challenges faced by these methods are such that it is difficult to accurately locate the nanowires (NWs), the cost is high, some cannot be prepared in large quantities, and some cannot be compatible with the current microelectronic processes, which limit the application of Ge/Si SLNWs in the new generation of high-performance electronic devices. In the present work, Ge/Si SLNWs were prepared via an in-plane solid-liquid-solid (IPSLS) mechanism developed in our previous works,[15,16] where nanoscale molten indium (In) droplets are employed as catalyst to absorb amorphous silicon (a-Si) precursor layer to produce crystalline Si NWs. More recently, a stacked a-Si (top)/a-Ge (bottom) bilayer feeding strategy has been explored to produce c-Ge/c-Si heterostructure island-chain NWs, where the Ge-rich islands are significantly larger than the slim SiNW interconnection, which is an ideal structure for hybrid photodetector,[17,18] but not for high density microelectronic integration. In this work, we come to investigate the growth parameter of bilayer feeding growth of planar Ge/Si NWs, in pursuit of a uniform sized and high-frequency compositional self-oscillation or modulation that could be helpful for high density microelectronics and optoelectronics applications. Larger In catalyst droplets are used, with matched bilayer feeding precursor layer, to produce uniform-sized Ge/Si SLNWs, where the Ge-rich slate can be controlled to thickness well below $ < $ 20 nm. Furthermore, we develop a selective etching process to remove the Ge-rich layer, leaving only free-standing ultrathin c-Si sheets, well positioned in pre-scribed positions, with thickness down to only 6 nm. This thus indicates a unique capability to batch-fabricate ultrafine c-Si fin channels, without the use of any sophisticated high precision lithography technology, which is extremely low cost, high yield and still compatible with the planar silicon process technology. At the end, the half-etched SLNWs were exploited to serve as size-selective cavity sites to capture tiny CdSe quantum dots (QDs). As depicted schematically in Figs. 1(a)–1(c), the major fabrication procedure includes the following steps. Firstly, the guiding channels were formed by etching 120 nm deep into the underlying 500 nm SiO$_{2}$ layer, and thus with a pair of parallel edges of 2000 nm apart, as defined by lithography and inductively coupled plasma etching. Then, the In stripes of 30 nm were deposited via lithography, thermal evaporation, and lift-off procedure. After that, the samples were loaded into the plasma enhanced chemical vapor deposition (PECVD) chamber, and pumped to the vacuum of $5\times 10 ^{-4}$ Pa to prevent the influence of O$_{2}$ and water vapor, followed by H plasma treatment to remove the oxide layer of In stripes and transform them into discrete droplets at the temperature of 350 ℃. Next, a stacked bilayer of a-Ge layer and a-Si layer was deposited separately at temperature of 120 ℃, with an rf power of 50 mW/cm$^{2}$. Specifically, the a-Ge layer was firstly deposited in the PECVD chamber for 2 min with 9 SCCM GeH$_{4}$ (1% in H$_{2}$), pressure of 40 Pa, where the a-Si was deposited for 14 mins by using 3.5 SCCM pure SiH$_{4}$, under pressure of 20 Pa. Finally, an annealing growth was carried out at 450 ℃ for 1 h, where the In droplets melt and started to move laterally by absorbing the nearby amorphous bilayers to produce crystalline Ge/Si superlattices.
cpl-40-6-066101-fig1.png
Fig. 1. [(a), (b), (c)] The fabrication process of Ge/Si superlattice nanowires (SLNWs). [(d), (e)] The typical SEM images of the as-grown Ge/Si SLNWs. (f) The Raman spectrum of the SLNW samples. [(g), (h)] The procedures for selective etching of Ge/Si SLNW to form free-standing Si nanosheets, which could serve as ultrathin fin-gate channel in fin-FET electronics or used to capture CdSe quantum dots (QDs) with specific sizes.
Figures 1(d) and 1(e) present the typical scanning electronic microscopy (SEM) images of the as-grown Ge/Si SLNWs, which feature a periodic segmented structure with alternating light and dark regions, arising from the different contrasts of the Ge-rich or Si-rich segments in SEM imaging. Figure 1(f) shows the Raman spectrum of the SLNWs, with four Raman peaks at 290 cm$^{-1}$, 410 cm$^{-1}$, 510 cm$^{-1}$, and 520 cm$^{-1}$, corresponding to c-Ge, Si-Ge, c-Si in SLNW, and c-Si wafer substrate, respectively. Note that the remnant amorphous a-Si/a-Ge bilayers were etched off, after the annealing growth, by using ammonia solution [Fig. 1(g)], where the sample was immersed in an ammonia solution of NH$_{3}\cdot$H$_{2}$O : H$_{2}$O = 1 : 10 and heated in a water bath to 60 ℃ for about 10 min, followed by deionized water washing and N$_{2}$ flow drying. Then, during a CF$_{4}$ plasma reactive ion etching (RIE) etching, as the etching rate of Ge is faster than that of Si, the Ge or Ge-rich layers can be selectively removed from the SLNWs, leaving only standing Si nanosheets as depicted in Fig. 1(h). In this work, the a-Si/a-Ge bilayer thickness and the ratio of the sublayer thicknesses are chosen as the major control parameters to tailor the morphology of the as-grown SLNWs. For the a-Ge/a-Si thicknesses of 5.5 nm/50 nm [Fig. 2(a)], the a-Ge layer is too thick, and as the solubility of Ge in In is much higher than that of Si,[19,20] the thick a-Ge bottom layer will drive the In catalyst droplet to radically absorb the bilayer structure and produce discontinuous or broken c-Ge/Si islands, which is definitely undesired for the formation of uniform SLNWs. In Fig. 2(b), we reduce the thickness of a-Ge and a-Si layers to 3.7 and 48 nm, with a reduced ratio of the a-Ge/a-Si sublayer thickness. Under this condition, uniform Ge/Si SLNWs with a diameter of about 150 nm can be obtained, with alternating SEM contrast arising from the modulated Ge contents in the segments. With further increasing the portion of a-Si layer, that is, setting the thicknesses of a-Ge and a-Si in sample C to 3.7 nm and 54 nm, as shown in Fig. 2(c), there are only pure SiNWs grown, mainly because the a-Si layer is thick, so the In catalyst cannot eat through the top a-Si layer to touch the bottom a-Ge layer. For the proper growth conditions of sample B, two Ge/Si SLNWs of different diameters of $\sim$  $162$ nm and $\sim$ $121$ nm were chosen, as shown in Figs. 2(e) and 2(g), respectively. According to the statistics extracted from Figs. 2(f) and 2(h), the average thicknesses of the Ge-rich slates are found to be $19 \pm 9$ nm and $14 \pm 10$ nm, respectively, indicating a trend that the Ge-rich slate becomes thicker with large diameter of the SLNWs.
cpl-40-6-066101-fig2.png
Fig. 2. [(a), (b), (c)] The SEM images of three typical samples grown with different a-Ge/a-Si precursor thickness ratios, along with the corresponding growth mode illustrations. (d) The detailed information on the thickness and ratio of the three samples. [(e), (g)] Two typical Ge/Si SLNWs with different diameters. [(f), (h)] The statistical diagram of the thickness of the Ge-rich slate extracted from different contrasts at the red dashed line positions in (e) and (g), respectively. The scale bars are 500 nm for (a)–(c), and 100 nm for (e)–(g).
cpl-40-6-066101-fig3.png
Fig. 3. The schematic diagram of growth mechanism: (a) the ternary phase diagram of the Si–Ge–In system, and enlarged view around the proximity of pure In corner, [(b), (c)] the proposed growth mechanism catalyzed by In droplet with proper size (resulting in SLNW growth) and small size (with Si NW growth), respectively.
During an IPSLS growth, the concentration of Si atoms at the front absorption interface, that is, the In/a-Si interface, is $C_{\rm aSi}=C_{\rm cSi} \cdot e^{-\Delta E_{\rm ac}/kT}$, where $C_{\rm cSi}$ is the equilibrium concentration at the rear crystalline In/c-Si NW, $kT$ the thermal energy, and $\Delta E_{\mathrm{ac}}\sim 0.15$ eV the Gibbs energy difference between the crystalline and amorphous Si matrices.[15] According to the ternary phase diagram of the Si–Ge–In system,[21] as also shown in Fig. 3(a), the equilibrium Si solubility in the liquid In droplet is only $C_{\rm cSi}\sim 3.2\times {10}^{-3}$ at.% @350 ℃, while that of Ge atoms is much higher, i.e., $C_{\rm cGe}\sim 2.4$ at.%. As seen in an enlarged view around the proximity of pure In corner, the liquidus can be approximated by a simplified black line joining these two equilibrium solubilities. Note that, on the left pure-Si side, the point represents the Si solubilities at the In/a-Si interface, that is, $C_{\rm aSi}$. If the catalyst droplet is too small, the top a-Si layer will not be completely absorbed, thus producing pure Si NW as witnessed in Fig. 2(c). For In droplet large enough, the a-Si layer can be eaten through to expose the bottom a-Ge layer [as depicted in Fig. 3(b)], the sudden inclusion of large amount of Ge atoms will push the status at point 1 to move towards the direction pointing to pure Ge, and thus equivalently lift the supersaturation status of Si atoms at the front In/a-Si interface, blocking the absorption at the front In/a-Si interface. This is indeed a unique aspect of this bilayer feeding approach, as the a-Ge layer can only be reached by the In droplet when the relatively thick top a-Si layer is completely consumed. When a new bottom window open is formed, by eating through the a-Si layer, the open length will quickly expand until a limit of $l_{\rm op}$, where the absorbed Ge atoms can equivalently compensate all the vacancy for Si atoms in the droplet. In this case, the front In/a-Si interface will stop to absorb Si atoms, until the exposed Ge layer is completely consumed. The open length should be at least \begin{align} \eta l_{\rm op}D_{\rm c} t_{\rm Ge}\geqslant \frac{V_{\rm c}\Delta C_{\rm Si}}{2} \to l_{\rm op}\geqslant \frac{D_{\rm c}^{2}\Delta C_{\rm Si}}{2 \eta t_{\rm Ge}}, \tag {1} \end{align} where $V_{\rm c}$ and $D_{\rm c}$ are the volume and diameter of the In droplet, as marked in Fig. 3(b), and $\eta$ the conversion/or impact ratio factor of the dissolved Ge atoms to the co-existed Si atoms in the Ge–Si–In ternary system. Meanwhile, this portion of consumed Ge will be deposited epitaxially on the end of NW, as a new segment of Ge-rich slate, with an advancing distance of \begin{align} l_{\rm ad}=\frac{l_{\rm op}D_{\rm c} t_{\rm Ge}}{D_{\rm nw}^{2}}=\frac{l_{\rm op} t_{\rm Ge}}{f^{2}D_{\rm c}}\geqslant D_{\rm c}\frac{\Delta C_{\rm Si} }{2 \eta f^{2}}, \tag {2} \end{align} where $f=D_{\rm nw}/D_{\rm c}$ is a proportional factor between the diameter of Si NW and the leading In droplet. Note that the 4.2% atomic volume difference between Ge and Si atoms is neglected. After the bottom Ge layer is totally consumed, the In droplet will be forced to climb over the thick a-Si layer to produce Si-rich segment.
cpl-40-6-066101-fig4.png
Fig. 4. (a) High-resolution transmission electron microscope image of the SiGe SLNW. (b) An enlarged view of red box area in (a). (c) The electron diffraction pattern of (b) region. (e) The HAADF-STEM results of (b). [(d), (f)] The FFT plots of the red-boxed and blue-boxed regions in (e). (g) The region of cross-sectional elemental EDS scan. [(h), (i)] Images of the elements Si and Ge, respectively
Here, it is important to note that, according to Eq. (2), the Ge-rich segment of $l_{\rm ad}$ is proportional to the size of the catalyst droplet, which is consistent with the observation in Figs. 2(e) and 2(g). If the a-Ge layer is too thick, the suppression effect of the top a-Si layer will be diminished, giving a chance for the In droplet to wildly spread underneath the a-Si layer and to produce large granular GeSi chains, as seen in Fig. 2(a). Compared to our previous report on the formation of slim Si NW and huge Ge islands by using smaller In droplets, typically of $\sim$ $160$ nm, the catalyst droplet is at least 2–3 times larger. Therefore, these large droplets are difficult to float over the a-Si layer to produce pure slim Si NW segments. Instead, a uniform-diameter growth of Ge/Si SLNW can be achieved, as witnessed in Figs. 2(e) and 2(g). Of course, there are still many theoretical aspects remained to be better understood for this nanoscale fascinating self-oscillating growth phenomenon. A typical Ge/Si SLNW was selected for focused ion beam cutting, and the high resolution-transmission electron microscope (HR-TEM) images are given in Figs. 4(a) and 4(b), where the SLNW is protected by a tungsten (W) capping layer. A close view of a Ge-rich region is provided in Fig. 4(b), with an enlarged high angle angular dark field-scanning transmission electron microscopy (HAADF-STEM) image presented in Fig. 4(e), showing that the lattice plane spacings are found to be 3.24 Å and 3.14 Å in the Ge and Si-rich regions, respectively. Based on Vegard's law and experimental data,[22] we can calculate that the Ge-rich region has a Ge content of $\sim$ $80$% and the Si-rich region has a Si content of $\sim$ $97$%. Figure 4(c) shows the electron diffraction pattern obtained within the selected region in Fig. 4(b), indicating a coherent lattice of the as-grown SLNWs. According to the Fourier transform (FFT) analysis, the growth direction of this specific SLNW is found to be Si $\langle 110 \rangle $. The SLNWs were also examined by using cross-sectional elemental energy dispersive spectrometer (EDS) analysis, as shown in Figs. 4(g)–4(i), where the periodic alternative distribution of the Si and Ge elements can be clearly observed, and the Si or Ge elementary mappings, as seen in Figs. 4(h) and 4(i) respectively, are indeed complementary.
cpl-40-6-066101-fig5.png
Fig. 5. [(a), (b)] The SEM graphs of SLNWs with partial and complete etchings of Ge-rich layer, respectively. (c) An enlarged detail of (b) with Si nanosheets separated like potato chips. (d) The SEM image of CdSe QDs sandwiched in the etched SLNWs. (e) The magnification view of (d). (f) The PL spectra of samples with the sandwiched CdSe QDs excited by a laser beam at 514 nm.
To remove the excess amorphous layers, the sample was treated with ammonia solution for 5 min, and then RIE was carried out with CF$_{4}$ etchant gas. The gas flow was 30 SCCM, the pressure was 4 Pa, and the rf power was 10 W. As CF$_{4}$ plasma etching has a higher Ge etching selectivity than Si,[23,24] it was used to selectively etch the Ge segments of SLNWs to leave only the Si nanosheets. We treated two samples with CF$_{4}$ in RIE for 3.5 and 4.5 min, which correspond the SEM images shown in Figs. 5(a) and 5(b), respectively. As the time of dry etching increases, more Ge-rich segments are etched off. Etching time could be properly chosen to remove Ge-rich region completely, resulting in the formation of separated Si nanosheets (as confirmed by EDS characterization but not shown here), with thickness down to 6 nm, as shown in Figs. 5(b) and 5(c). It is interesting to note that the formation of such an array of parallel standing nanosheets, with thickness down to 6 nm, is quite impressive, as it will otherwise demand the use of cutting-edge top-down extreme-ultraviolet lithography and etching technology. If the position and spacing of these parallel array of c-Si nanosheets can be better controlled in a deterministic way, they could serve as the ultrathin fin-channels for fin-FET devices. Also, as the spacing between Si nanosheets can be controlled by wet and dry etching, which is comparable with the size of various synthetic quantum dots (QDs), these partially etched SLNWs provide an interesting structure to capture 0D QDs onto 1D NWs. Here we employ CdSe QDs with size around 15 nm to fill in the SLNWs by the simple spin-coating method. The SEM images of such structures are shown in Figs. 5(d) and 5(e). Figure 5(f) gives the photoluminescence (PL) spectra of the CdSe QDs and CdSe QDs embedded in partially etched SLNWs, excited by 514 nm laser. It can be seen that the PL peak of the QDs embedded in SLNWs is slightly red-shift. It is possible that only the proper CdSe QDs can be fitted and fixed into the Ge pits, while the smaller ones were washed away, leading to a red shifting of in the PL spectrum. In conclusion, we have obtained self-localized, batch-prepared Ge/Si superlattice nanowires by controlling the stacked amorphous precursors of a-Si/a-Ge. A unique high-frequency alternation of ultrathin Ge-rich and Si-rich periods, with thickness $ < $ 20 nm, have been regularly obtained in the superlattice nanowires, without any external growth modulation. After selective etching of the Ge-rich segments, very thin c-Si slates were obtained with thickness down to 6 nm, which were testified to capture QDs with selected sizes. A theoretical model has also been proposed to explained the formation mechanism of such Ge/Si superlattice nanowire structure. It has bright application prospects in the fields of optoelectronics, microelectronics, thermoelectricity, and so on. Acknowledgement. This work was supported by the National Natural Science Foundation of China (Grant Nos. 92164201, 61921005, 61974064, 61934004, and 11874198).
References Single-Mode Near-Infrared Lasing in a GaAsSb-Based Nanowire Superlattice at Room TemperaturePhonon Engineering in Twinning Superlattice NanowiresHigh-performance ultraviolet photodetectors based on CdS/CdS:SnS2 superlattice nanowiresHigh-Performance Transparent Ultraviolet Photodetectors Based on InGaZnO Superlattice Nanowire ArraysPolymorphism of GeSbTe Superlattice NanowiresEnergy filtering in silicon nanowires and nanosheets using a geometric superlattice and its use for steep-slope transistorsGiant reduction of thermal conductivity and enhancement of thermoelectric performance in twinning superlattice InAsSb nanowiresCrystalline InGaZnO quaternary nanowires with superlattice structure for high-performance thin-film transistorsSolution-Processed CdS/Cu2 S Superlattice Nanowire with Enhanced Thermoelectric PropertyElectrodeposition of Thermoelectric Superlattice NanowiresGrowth of nanowire superlattice structures for nanoscale photonics and electronicsVisible and infrared emission from Si/Ge nanowires synthesized by metal-assisted wet etchingBlock-by-Block Growth of Single-Crystalline Si/SiGe Superlattice NanowiresSolvent Vapor Growth of Axial Heterostructure Nanowires with Multiple Alternating Segments of Silicon and GermaniumAn In-Plane Solid-Liquid-Solid Growth Mode for Self-Avoiding Lateral Silicon NanowiresGuided growth of in-plane silicon nanowiresNanodroplet Hydrodynamic Transformation of Uniform Amorphous Bilayer into Highly Modulated Ge/Si Island-ChainsGermanium quantum dot infrared photodetectors addressed by self-aligned silicon nanowire electrodesEquilibrium Thermochemistry of Solid and Liquid Alloys of Germanium and of Silicon. I. The Solubility of Ge and Si in Elements of Groups III, IV and VRole of critical size of nuclei for liquid-phase epitaxy on polycrystalline Si filmsSi‐Ge‐Metal Ternary Phase Diagram CalculationsLattice Parameter and Density in Germanium-Silicon Alloys1Highly Selective Dry Etching of Germanium over Germanium–Tin (Ge 1– x Sn x ): A Novel Route for Ge 1– x Sn x Nanostructure FabricationSelective Dry Etching of Germanium with Respect to Silicon and Vice Versa
[1] Ren D D, Ahtapodov L, Nilsen J S, Yang J F, Gustafsson A, Huh J, Conibeer G J, van Helvoort A T J, Fimland B O, and Weman H 2018 Nano Lett. 18 2304
[2] De Luca M, Fasolato C, Verheijen M A, Ren Y, Swinkels M Y, Kolling S, Bakkers E, Rurali R, Cartoixa X, and Zardo I 2019 Nano Lett. 19 4702
[3] Gou G Y, Dai G Z, Qian C, Liu Y F, Fu Y, Tian Z Y, He Y K, Kong L G, Yang J L, Sun J, and Gao Y L 2016 Nanoscale 8 14580
[4] Li F Z, Meng Y, Dong R T, Yip S, Lan C Y, Kang X, Wang F Y, Chan K S, and Ho J C 2019 ACS Nano 13 12042
[5] Jung C S, Kim H S, Im H S, Seo Y S, Park K, Back S H, Cho Y J, Kim C H, Park J, and Ahn J P 2013 Nano Lett. 13 543
[6] Beckers A, Thewissen M, and Sorée B 2018 J. Appl. Phys. 124 144304
[7] Peri L, Prete D, Demontis V, Zannier V, Rossi F, Sorba L, Beltram F, and Rossella F 2022 Nano Energy 103 107700
[8] Li F Z, Yip S, Dong R T, Zhou Z Y, Lan C Y, Liang X G, Li D P, Meng Y, Kang X L, and Ho J C 2019 Nano Res. 12 1796
[9] Xiong Z, Cai Y, Ren X, Cao B, Liu J, Huo Z, and Tang J 2017 ACS Appl. Mater. & Interfaces 9 32424
[10] Yoo B, Xiao F, Bozhilov K N, Herman J, Ryan M A, and Myung N V 2007 Adv. Mater. 19 296
[11] Gudiksen M S, Lauhon L J, Wang J, Smith D C, and Lieber C M 2002 Nature 415 617
[12] Irrera A, Artoni P, Fioravanti V, Franzò G, Fazio B, Musumeci P, Boninelli S, Impellizzeri G, Terrasi A, Priolo F, and Iacona F 2014 Nanoscale Res. Lett. 9 74
[13] Wu Y Y, Fan R, and Yang P D 2002 Nano Lett. 2 83
[14] Flynn G, Ramasse Q M, and Ryan K M 2016 Nano Lett. 16 374
[15] Yu L W, Alet P J, Picardi G, and Roca I C P 2009 Phys. Rev. Lett. 102 125501
[16] Yu L W, Oudwan M, Moustapha O, Fortuna F, and Roca I C P 2009 Appl. Phys. Lett. 95 113106
[17] Zhao Y L, Ma H G, Dong T G, Wang J Z, Yu L H, Xu J, Shi Y, Chen K J, and Roca I C P 2018 Nano Lett. 18 6931
[18] Zhao Y L, Li L F, Liu S S, Wang J Z, Xu J, Shi Y, Chen K J, Roca I C P, and Yu L W 2020 Nanotechnology 31 145602
[19] Thurmond C D 1953 J. Phys. Chem. 57 827
[20] Kühnle J, Bergmann R B, and Werner J H 1997 J. Cryst. Growth 173 62
[21] Fleurial J P and Borshchevsky A 1990 J. Electrochem. Soc. 137 2928
[22] Dismukes J P, Ekstrom L, and Paff R J 1964 J. Phys. Chem. 68 3021
[23] Gupta S, Chen R, Huang Y C, Kim Y, Sanchez E, Harris J S, and Saraswat K C 2013 Nano Lett. 13 3783
[24] Oehrlein G S, Bestwick T D, Jones P L, Jaso M A, and Lindström J L 1991 J. Electrochem. Soc. 138 1443